I am now going to design a gray coded 16 bits counter, any efficient way to implement it in VHDL description?

  • 要设计一个灰色编码16位的计数器,怎么以VHDL描述来实现它?
目录 查词历史